A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using [PDF]

A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using. Adaptive Clocking. Swaroop Ghosh, Patrick Ndai and Kaushik

0 downloads 6 Views 196KB Size

Recommend Stories


Implementing Fault-Tolerant Services Using State Machines
The happiest people don't have the best of everything, they just make the best of everything. Anony

Fault Tolerant Paradigms
Goodbyes are only for those who love with their eyes. Because for those who love with heart and soul

Fault Diagnosis and Fault-tolerant Control
You're not going to master the rest of your life in one day. Just relax. Master the day. Than just keep

Fault-Tolerant Broadcasts in CAN
I tried to make sense of the Four Books, until love arrived, and it all became a single syllable. Yunus

Byzantine Fault-Tolerant Atomic Multicast
Come let us be friends for once. Let us make life easy on us. Let us be loved ones and lovers. The earth

Understanding fault-tolerant distributed systems
If you are irritated by every rub, how will your mirror be polished? Rumi

Synthesis Of Fault-Tolerant Feedforward Neural Networks Using Minimax Optimization
Come let us be friends for once. Let us make life easy on us. Let us be loved ones and lovers. The earth

Fault Tolerant Control of Wind Turbines Using Unknown Input Observers
Kindness, like a boomerang, always returns. Unknown

Fault detectability analysis for requirements validation of fault tolerant systems
The greatest of richness is the richness of the soul. Prophet Muhammad (Peace be upon him)

Fault-Tolerant Electrical Machines and Drives
You have to expect things of yourself before you can do them. Michael Jordan

Idea Transcript


A Novel Low Overhead Fault Tolerant Kogge-Stone Adder Using Adaptive Clocking Swaroop Ghosh, Patrick Ndai and Kaushik Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 < ghosh3, pndai, kaushik>@ecn.purdue.edu Abstract— As the feature size of transistors gets smaller, fabricating them becomes challenging. Manufacturing process follows various corrective design-for-manufacturing (DFM) steps to avoid shorts/opens/bridges. However, it is not possible to completely eliminate the possibility of such defects. If spare units are not present to replace the defective parts, then such failures cause yield loss. In this paper, we present a fault tolerant technique to leverage the redundancy present in high speed regular circuits such as Kogge-Stone adder (KSA). Due to its regularity and speed, KSA is widely used in ALU design. In KSA, the carries are computed fast by computing them in parallel. Our technique is based on the fact that even and odd carries are mutually exclusive. Therefore, defect in even bit can only corrupt the even Sum outputs whereas the odd Sums are computed correctly (and vice versa). To efficiently utilize the above property of KSA in presence of defects, we perform addition in two- clock cycles. In cycle-1, one of the correct set of bits (even or odd) are computed and stored at output registers. In cycle-2, the operands are shifted by one bit and the remaining sets of bits (odd or even) are computed and stored. This allows us to tolerate the defect at the cost of throughput degradation while maintaining high frequency and yield. The proposed technique can tolerate any number of faults as long as they are confined to either even or odd bits (but not in both). Further, this technique is applicable for any type of fault model (stuck-at, bridging, complete opens/shorts). We performed simulations on 64-bit KSA using 180nm devices. The results indicate that the proposed technique incur less that 1% area overhead. Note that there is very little throughput degradation (

Smile Life

When life gives you a hundred reasons to cry, show life that you have a thousand reasons to smile

Get in touch

© Copyright 2015 - 2024 PDFFOX.COM - All rights reserved.