Extreme ultraviolet lithography - Wikipedia [PDF]

While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2, lasers for producing EUV-generating pla

39 downloads 22 Views 621KB Size

Recommend Stories


Hollow laser self-confined plasma for extreme ultraviolet lithography and other applications
Those who bring sunshine to the lives of others cannot keep it from themselves. J. M. Barrie

Download Solid-state photonics goes extreme ultraviolet
Ask yourself: What do I feel passionate about, and how can I spend more time on my passion? Next

Solid-state photonics goes extreme ultraviolet
Ego says, "Once everything falls into place, I'll feel peace." Spirit says "Find your peace, and then

Lithography
The only limits you see are the ones you impose on yourself. Dr. Wayne Dyer

Lithography Aluminum Plate Lithography
Your big opportunity may be right where you are now. Napoleon Hill

Rybczynski theorem - Wikipedia [PDF]
In the context of the Heckscher–Ohlin model of international trade, open trade between two regions often leads to changes in relative factor supplies between the regions. This can lead to an adjustment in the quantities and types of outputs between

Acute pericarditis - Wikipedia [PDF]
Acute pericarditis is a type of pericarditis usually lasting less than 6 weeks. It is by far the most common condition affecting the pericardium. Contents. [hide]. 1 Signs and symptoms; 2 Causes; 3 Pathophysiology; 4 Diagnosis; 5 Treatment; 6 Prognos

Photosynthesis - Wikipedia [PDF]
Although photosynthesis is performed differently by different species, the process always begins when energy from light is absorbed by proteins called reaction centres that contain green chlorophyll pigments. In plants, these proteins are held inside

Pulmonary consolidation - Wikipedia [PDF]
Jump to Radiology - A pulmonary consolidation is a region of (normally compressible) lung tissue that has filled with liquid, a condition marked by induration of a normally aerated lung. It is considered a radiologic sign. Consolidation occurs throug

Jemuwahan - Wikipedia [PDF]
... wa Ta'ala. Banjur lungguh sedhéla; Khutbah kapindho : Khatib miwiti khutbah kapindho kanthi maca hamdalah lan pujian marang Allah. Banjur nerusaké khutbah nganti rampung; Khatib banjur mudhun saka mimbar. Sabanjuré muadzin ngumandhangaké iqam

Idea Transcript


Extreme ultraviolet lithography Extreme ultraviolet lithography (also known as EUV or EUVL) is a next-generation lithography technology using an extreme ultraviolet (EUV) wavelength, currently expected to be 13.5 nm. EUV is currently being developed for high volume use by 2020.[1][2][3][4]

Contents Tool Resource requirements Light source power and throughput EUV-specific optical issues H-V asymmetry

Image formation mechanism in EUV lithography. Top: EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. Bottom: EUV radiation (red) reflected from the mask pattern is absorbed in the resist (yellow) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.

Asymmetries in sets of parallel lines Pattern shift from defocus (non-telecentricity) Line tip effects Slit position dependence Aberrations across slit Enhancement Opportunities for EUV Patterning Assist features Source-mask optimization Impact of aberrations Optimum illumination vs. Pitch Pitch-dependent focus windows Outlook for Advanced Nodes Photoresist exposure Impact of photoelectron and secondary electron travel on resolution DUV Sensitivity Resist outgassing Contamination effects Membrane EUV Mask Defects Multilayer damage Pellicles Hydrogen bulging defects Throughput-scaling limits Reduced fields Shot noise: the statistical resolution limit Uptime and productivity Deployment History EUV and multiple patterning Single patterning extension: Anamorphic High-NA Beyond EUV wavelength References Further reading Related links

Tool The tool consists of a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient. The hydrogen is used for keeping the EUV collector mirror in the source free of Sn deposition.[5] EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs EUV radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the photomask, must use defect-free molybdenum/silicon (Mo/Si) multilayers that act to reflect light by means of interlayer interference; any one of these mirrors absorb around 30% of the incident light. Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the optics already absorbs 96% of the EUV light, the ideal EUV source will need to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from high-energy ions[6][7] and other debris.[8]

Resource requirements Utility

200 W output EUV

90 W output ArF immersion double patterning

Electrical power (kW)

532

49

Cooling water flow (L/min)

1600

75

Gas lines

6

3

EUVL tool, Lawrence Livermore National Laboratory.

Source: Gigaphoton, Sematech Symposium Japan, September 15, 2010 The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with two exposures using the latter. Hynix reported at the 2009 EUV Symposium that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200 W at intermediate focus for 100 WPH, one would require 1 MW of input power, compared to 165 kW for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3x the footprint of an ArF immersion scanner, resulting in productivity loss.[9] Additionally, to confine ion debris, a superconducting magnet may be required.[10] A typical EUV tool weighs 180 tons.[11]

Light source power and throughput Neutral atoms or condensed matter cannot emit EUV radiation. Ionization must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV.[12] As of 2016, the established EUV light source is a laser-pulsed Sn plasma.[13] The ions absorb the EUV light they emit, and are easily neutralized by electrons in the plasma to lower charge states which produce light mainly at other, unusable wavelengths, which results in a much reduced efficiency of light generation for lithography at higher plasma power density. While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2 ,[14] lasers for producing EUV-generating plasmas need to be much more intense, on the order of 10 11 W/cm2 .[15] A state-of-the-art ArF immersion lithography 120 W light source requires no more than 40 kW[16] while EUV sources are EUV TPT as a function of dose. The wafer throughput of an EUV tool is actually a function of exposure dose, for a fixed source power.

targeted to exceed 40 kW.[17]

EUV collector degradation over time. EUV collector reflectivity degrades ~10% in a week, leading to loss of throughput.

The EUV collector has a lifetime on the order of months, over which transmission steadily decreases, over 10% in a week (30 billion 50 kHz pulses).[18] This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off.[19][20] On the other hand, conventional immersion lithography tools for double patterning provide consistent output for up to a year.[21]

Recently, the NXE:3400B illuminator features a smaller pupil fill ratio (PFR) down to 20% without transmission loss.[22] PFR is maximized and greater than 0.2 around a metal pitch of 45 nm.[23]

EUV-specific optical issues A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the off-axis illumination (at an angle of 6 degrees, in different direction at different positions within the illumination slit)[24] on a multilayer mask. This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below.[25]

H-V asymmetry Most fundamentally, the behavior of light rays within the plane of reflection (affecting horizontal lines) is different from the behavior of light rays out of the plane of reflection (affecting vertical lines).[26] Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes

EUV Multilayer Reflectivity. Reflectivity is highest around normal incidence, but decreases sharply at larger angles. Different polarizations also show differences at larger angles.

on the wafer.

Asymmetries in sets of parallel lines The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability

of two identical features even in close proximity to be in focus simultaneously.[27] One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines (the so-called "two-bar"). Some ways to partly compensate are the use of assist features as well as asymmetric illumination.[28] An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to

EUV non-telecentricity. Left: Due to large multilayer reflection angle differences, one side of the illumination pupil results in more reflected light. Right: Consequently, illumination from one side will be dominant. This results in an optical path difference between diffraction orders with respect to defocus, leading to a tendency for the pattern to shift.

defocus.[29] It is manifest in the CD difference between the top and bottom edge lines of the set of 11 horizontal lines. The table below lists the CD difference over 100 nm focus range under quasar illumination (quasar illumination will be described in the section on optimum illumination vs. pitch). 2-bar CD difference vs. focus. The difference between the widths of two adjacent horizontal lines varies as a function of focus.

Pitch

Horizontal 11-bar Bottom-Top CD difference over 100 nm focus range (quasar)

36 nm

3.5 nm

40 nm

2.5 nm

44 nm

1.7 nm

For pitches of 40 nm or below, the linewidths are 20 nm or less, while the CD difference is at least 2.5 nm, resulting in at least 12.5% difference.

Pattern shift from defocus (non-telecentricity) The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small (mrad-scale) deviations in mask flatness in the local slope, coupled with wafer defocus.[30] More significantly, mask defocus has been found to result in large overlay errors.[31][32] In particular, for a 10nm node metal 1 layer (including 48 nm, 64 nm, 70 nm pitches, isolated, and power lines), the uncorrectable pattern placement error was 1 nm for 40 nm mask z-position shift.[33] This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation.[34] With the blank thickness variation up to 80 nm being

10nm node metal layer pattern shift vs defocus. Different patterns in the 10nm node metal layer (24 nm hp) are shifted differently through focus, depending on orientation and slit position as well as arrangement.

possible, ~2 nm image placement error is possible. The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4 nm overlay budget of the NXE:3400 EUV scanner[35] even for design rules as loose as 100 nm pitch.[36] The worst uncorrectable pattern placement error for a 24 nm line was about 1.1 nm, relative to an adjacent 72 nm power line, per 80 nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5 nm in the wafer defocus window[33] In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that a 80 nm pitch contact array

shifted -0.6 to 1.0 nm while a 56 nm pitch contact array shifted -1.7 to 1.0 nm relative to a horizontal reference line, within a +/- 50 nm defocus window.[37]

Line tip effects A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip (T2T) distance as half-pitch (hp) is scaled down.[38] This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography.[39][40] The rounding of the corners of the line end leads to line end shortening,[41] and this is worse for binary masks.[42] The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers[43] as well as the bandwidth of the EUV light itself.[44] More conventionally, optical proximity correction (OPC) is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity.[45] In addition, the effectiveness of optical corrections depends on other non-optical causes such as resist blur and diffusion effects, which may also include secondary electron blur (discussed in the section on photoresist exposure).[46] Also, larger molecular weights and sizes appear to reduce corner rounding.[47]

Tip-to-tip (T2T) vs. CD. Dose targeting has a tradeoff between CD and T2T (at fixed pitch).

In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure

Tip-to-tip (T2T) distance vs. half-pitch. The smallest published T2T is plotted vs. half pitch. EUV OPC is applied where possible to reduce the tip-to-tip distance. The dotted line indicates the half-pitch.

patterning. For the 40 nm pitch vertical lines, an 18 nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29 nm with OPC (optical proximity correction),[38] while for 32 nm pitch horizontal lines, the tip-to-tip distance with a 14 nm nominal gap went to 31 nm with OPC.[48] These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30 nm. With further optimization of the illumination (discussed in the section on source-mask optimization), the lower limit can be further reduced to around 25 nm.[49] For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24 nm half-pitch lines, with a 20 nm nominally drawn gap, the distance was actually 45 nm, while for 32 nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34 nm.[48] With OPC, these become 39 nm and 28 nm for 24 nm half-pitch and 32 nm half-pitch, respectively.[50] The printed space between a line tip and a perpendicular line it faces is 25-35 nm for 22 nm half-pitch lines (with a 20 nm nominally drawn gap).[50] For a 22 nm line-space pattern with 22 nm nominal gap, conventional illumination yields a 38 nm tip-to-line distance, while quasar illumination yields a 28 nm

Tip-to-side gap printing difficulty. The tip-to-side gap is one of the hardest features to print in a bidirectional pattern. The dipole-like illumination favors the minimum pitch but not other pitches.

distance.[51] The tip-to-side gap is one of the hardest features to print in a bidirectional pattern.[52] Summary of EUV Line Tip and Corner Effects:[53] Corner Rounding

Tip to Tip

Tip to Side

~25 nm

28 nm

28 nm

Tip-to-tip under optimized illumination. For 36 nm pitch vertical lines under optimized illumination, the tip-to-tip gap cannot be reduced even to 25 nm.

Source: Semicon West 2017, IBM The line end clearance distance of 28 nm essentially forces pitches to be at least 56 nm for EUV single exposure bi-directional patterning. 7nm node minimum metal pitch is already at 40 nm or below, while gate pitch can also be below 56 nm,[54][55] so this is an indication that multiple patterning would be needed even for EUV at 7nm.[56]

Slit position dependence The direction of illumination is also highly dependent on slit position. Hence identical die patterns on different halves of the slit would get different OPC. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies. The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM.[57] Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC.

Aberrations across slit Aberrations, originating from deviations of optical surfaces from subatomic (500 uc/cm2 , the resist begins to thicken due to crosslinking.[93] The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to

affect the depth of focus.[95] Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus.

Depth of focus is affected by EUV photoelectron emission from underlying layer.

DUV Sensitivity It should be noted that EUV resists are also exposable by wavelengths longer than EUV, particular VUV and DUV wavelengths in the 150–250 nm range.[96]

Resist outgassing Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. Organic photoresists outgas hydrocarbons[97] while metal oxide photoresists outgas water and oxygen [98] and metal (in a hydrogen ambient); the last is uncleanable.[20] The carbon contamination is known to affect multilayer reflectivity [99] while the oxygen is particularly harmful for the ruthenium capping layers on the EUV multilayer optics.[100]

Contamination effects One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.[101] Also, hydrogen gas in the tool chambers interacts with tin in the light source or resist to form SnH4 which reaches the coatings of the EUV optical surfaces, leaving Sn which is subsequently unremovable.[19][20] Hydrogen also reacts with metal-containing compounds to reduce them to metal,[102] and/or diffuses through to the multilayer, eventually causing blistering.[103] Hydrogen also reacts with resists to etch [104] or decompose[105] them.

Membrane To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer.[106] The membrane contains layers which absorb DUV and IR radiation, and transmits 85-90% of

Outgassing contamination vs. EUV dose: The increase of dose to size (E size) to reduce shot noise and roughness comes at price of increased contamination from outgassing. The contamination thickness shown here is relative to a reference resist.

the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general (although the latter are out of focus, they may still obstruct light).

EUV Mask Defects Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography.[107] Defects can be buried underneath or within the multilayer stack [108] or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition.[109] In fact, defects of atomic scale height (0.3–0.5 nm) with 100 nm FWHM can still be printable by exhibiting 10% CD impact.[110] IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50 nm size, can have 10% CD impact even with 0.6 nm height, yet remain undetectable.[111] Furthermore, the edge of a phase defect will further reduce reflectivity (http://henke.lbl.gov/optical_constan ts/multi2.html) by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from EUV mask defect printability. Defects with atomic-scale heights can affect dimensions printed by EUV even though buried by many layers. Source: Lawrence Berkeley National Laboratory and Intel.

the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in

EUV defect printability vs. pitch. The printability (here 10% CD) of a defect of a given height and width varies with pitch. Note that even the surface roughness on the multilayer here can have noticeable impact.

reflectivity. EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit,

the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.[112]

Multilayer damage Multiple EUV pulses at less than 10 mJ/cm2 could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element.[113] The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system.

Pellicles Production EUV tools need a pellicle to protect the mask from contamination. Currently, the pellicle is not yet guaranteed to withstand 250 W power necessary for high volume manufacturing; the specification is 40 W.[114] Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193 nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200 W, i.e., the targeted power for manufacturing.[115] Heating of the EUV mask pellicle (film temperature up to 750 K for 80 W incident power) is a significant concern, due to the resulting deformation and transmission decrease.[116] ASML developed a 70 nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels.[117] SiNx pellicle membranes also failed at 82 W equivalent EUV source power levels.[118] Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials (nanosheets, nanotubes) are damaged by EUV due to the release of electrons[119] and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners.[120] Hydrogen plasmas can also etch silicon as well.[121][122] A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability (e.g., bulging).[123] The current lack of any suitable pellicle material, aggravated by the use of hydrogen plasma cleaning in the EUV scanner,[124][125] presents an obstacle to volume production.[126]

Hydrogen bulging defects Hydrogen used in recent EUV systems can penetrate into the EUV mask layers. Once trapped, bulge defects were produced.[127] These defects arise after a sufficient number of EUV mask exposures in the hydrogen environment.

Throughput-scaling limits The resolution of EUV lithography for the future faces challenges in maintaining throughput, i.e., how many wafers are processed by an EUV tool per day. These challenges arise from smaller fields, additional mirrors, and shot noise. In order to maintain throughput, the power at intermediate focus (IF) must be continually increased.

Reduced fields Preparation of an anamorphic lens with an NA between 0.5 and 0.6 is underway as of 2016. The demagnification will be 8X in one dimension and 4X in the other, and the angle of reflection will increase.[128] Higher demagnification will increase the mask size or reduce the size of the printed field. Reduced field size would divide full-size chip patterns (normally taking up 26 mm × 33 mm) among two or more conventional 6-inch EUV masks. Large (approaching or exceeding 500 mm2 ) chips, typically used for GPUs[129] or servers,[130] would have to be stitched together from two or more sub-patterns from different masks.[131] Without field stitching, die size would be limited. With field stitching, features that cross field boundaries would have alignment errors, and the extra time

Field stitching. Stitching together exposure fields is a concern where critical features cross a field boundary (red dotted line).

required to change masks would reduce the throughput of the EUV system.[132]

Shot noise: the statistical resolution limit With the natural Poisson distribution due to the random arrival and absorption times of the photons,[133] there is an expected natural dose (photon number) variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose

Reduction of field size by demagnification. Increasing the demagnification from 4X to 8X in one dimension would split the original full imaging field into two parts to preserve the same die area (26 mm × 33 mm).

variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness (LWR) spec of 8% (3s) of linewidth.[134] Increasing the dose will reduce the shot noise, but this also requires higher source power. A 10 nm wide, 10 nm long assist feature region, at a target non-printing dose of 15 mJ/cm2 , with 10% absorption, is defined by just over 100 photons, which leads to a 6s noise of 59%, corresponding to a stochastic dose range of 6 to 24 mJ/cm2 , which could affect the printability. A 2017 study by Intel showed that for semi-isolated vias (whose Airy disk can be approximated by a Gaussian), the sensitivity of CD to dose was particularly strong,[135] strong enough that a reduction of dose could nonlinearly lead to failure to print the via. Minimum dose to restrain shot noise for shrinking areas: length

edge width

area

dose for 3s=7% noise (1800 absorbed EUV photons, 33% absorption)

40 nm

4 nm

160 nm2

50 mJ/cm2

25 nm

4 nm

100 nm2

78 mJ/cm2

25 nm

2 nm

50 nm2

159 mJ/cm2

20 nm

2 nm

40 nm2

198 mJ/cm2

15 nm

2 nm

30 nm2

264 mJ/cm2

The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose

Shot noise causing significant CD variations. A set of holes patterned at 64 nm pitch shows significant effects of shot noise with an EUV dose of just over 10 mJ/cm2. A larger dose would result in the same range of variation over a larger sample size.

due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing [136] and limits throughput, and crosslinking [137] occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.[138] As mentioned earlier, a more absorbing resist actually leads to less vertical dose uniformity. This also means shot noise is worse toward the bottom of a highly absorbing EUV resist layer. Even with higher absorption, EUV has a larger shot noise concern than the ArF (193 nm) wavelength, mainly because it is applied to smaller dimensions and current dose targets are lower due to currently available source power levels. Wavelength

Resist type

Absorbance

Thickness

Absorption

Target Dose

Absorbed photon dose

ArF (193 nm)

CAR

1.2/µm[139]

0.1 µm

11%

30 mJ/cm2[140]

33 photons/nm2

EUV (13.5 nm)

CAR

5/µm[141]

0.05 µm

22%

20 mJ/cm2[142]

3 photons/nm2

EUV (13.5 nm)

Metal oxide

20/µm[141]

0.02 µm

33%

20 mJ/cm2[142]

4.5 photons/nm2

Via printing failure from noise-induced dose reduction. Shot noise-induced dose reduction could in extreme cases lead to via printing failure (CD->0).

As can be seen above, at the target incident dose levels, significantly fewer EUV photons are absorbed in EUV resists compared to ArF photons in ArF resists. Despite greater transparency of the resist, the incident photon flux is about 14 times larger (193/13.5) for the same energy dose per unit area. The resist thickness is limited by transparency as well as resist collapse[143] and resist strip [144] considerations.

Uptime and productivity Current throughput at customer site is 1,200 wafers per day with 80% availability,[145] while conventional tools produce 5,000 wafers per day with 95% availability.[146] As of 2017, the cost of a 7 nm process with 3 metal layers patterned by single EUV exposure is still 20% higher than the current 10 nm non-EUV multipatterned process.[147] Hence, multiple patterning with immersion lithography has been deployed for volume manufacturing, while deployment of EUV is expected in 2018–2020.

Deployment History The deployment of EUVL for volume manufacturing has been delayed for a decade,[148][149] though the forecasts for deployment had timelines of 2–5 years. Deployment was targeted in 2007 (5 years after the forecast was made in 2002),[148] in 2009 (5 years after the forecast), in 2012–2013 (3–4 years), in 2013–2015 (2–4 years),[150][151] in 2016–2017 (2–3 years),[152] and in 2018–2020 (2–4 years after the forecasts).[153][154] However, deployment could be delayed further.[155] Shipments of the NXE:3350 system began at the end of 2015, with claimed throughput of 1,250 wafers/day or 65 wafers per hour (WPH) assuming 80% uptime.[156][157] By comparison, the 300-unit installed base of NXT 193-nm immersion systems had 96% availability and 275 WPH in 2015.[158][159] Year

WPH

forecast WPH

Availability

forecast Avail.

2014

55[160]

70[161]

50% [160]

2015

55[162]

75; [160] 125[161]

70% [163]

70% [160]

2016

85[163]

125[161]

80% [163]

80% [160]

2017

125[163]

85% [163]

2018

140[163]

90% [163]

Twenty EUV units were shipped in 2010–2016, short of the number that would be required for volume manufacturing. By comparison, ASML shipped over 60 NXT 193-nm immersion systems in 2016, and forecasts that 48 EUV units will be shipped in 2019.[164][165] Six NXE:3100 units were shipped in 2010–2011.[166][167] Eight NXE:3300B units were shipped in 2013Q3–2015Q1,[159] fewer than the forecast 11 units.[168] Two NXE:3350B units were shipped in late 2015,[158] compared to a forecast six units.[159] Four units were shipped in 2016, compared to a forecast six or seven units from the start of the year.[169] As of 2016, 12 units were forecast to ship in 2017,[169] and 24 units in 2018.[164] However, the shipment forecast for 2017 was halved at the beginning of the year to six or seven units.[170] The NXE:3350B is planned to be discontinued by 2017, to be replaced by the NXE:3400B. At the time of shipping of the first NXE:3400B,[171] eight NXE:3300B and six NXE:3350B systems were up and working in the field.[172]

EUV and multiple patterning In Intel's complementary lithography scheme at 20 nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193 nm line-printing exposure.[173] Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes.[174][175][176][177] For example, when considering a staggered bar array of 64 nm vertical pitch, changing the horizontal pitch from 64 nm to 90 nm changes the optimized illumination significantly.[23]

Single patterning extension: Anamorphic High-NA Optimum EUV illumination vs. contact hole pitch. Even within this limited range of contact hole pitches, the optimum illumination, defined by inner and outer sigma, vary noticeably. For larger pitches (k1), conventional or annular illumination would be used.

A return to extended generations of single exposure patterning would be possible with higher numerical aperture (NA) tools. An NA of 0.45 using 13.5 nm wavelength could require retuning of a few percent.[178] Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns (one die per 26 mm × 33 mm field) such as the many-core multi-billion transistor 14 nm Xeon chips.[179] by requiring field stitching. In 2015, ASML disclosed details of its anamorphic next-generation EUV (13.5 nm wavelength) scanner, with an NA of 0.55. The demagnification is increased from 4x to 8x only in one direction (in the plane of incidence). [180] However, the 0.55 NA has a much smaller depth of focus than immersion lithography.[181] Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting.[182] Depth of focus[183] being reduced by increasing NA is also a concern,[184] especially in comparison with multipatterning exposures using 193 nm immersion lithography: wavelength

refractive index

NA

DOF (normalized)[183]

193 nm

1.44

1.35

1

13.5 nm

1

0.33

1.17

13.5 nm

1

0.55

0.4

The first high-NA tools are expected by 2020 at earliest.[185]

Beyond EUV wavelength A much shorter wavelength (~6.7 nm) would be beyond EUV, and is often referred to as BEUV (Beyond Extreme UltraViolet).[186] A shorter wavelength would have worse shot noise effects without ensuring sufficient dose.[187]

References 1. Intel 7nm by 2019 (http://semiengineering.com/the-week-in-review-manufacturing-116/) 2. Globalfoundries EUV by 2020 (http://www.electronicsweekly.com/blogs/mannerisms/manufacturing-mannerisms/glofo-looks-for-7nm-leadership-2016-05/) 3. Samsung 7nm by 2020 (https://www.semiwiki.com/forum/content/5721-samsung-10nm-7nm-strategy-explained.html) 4. TSMC 5nm by 2020 (http://www.extremetech.com/computing/221532-tsmc-will-begin-10nm-production-this-year-claims-5nm-by-2020) 5. EUV collector cleaning (http://cpmi.illinois.edu/files/2016/03/In-Situ-Collector-Cleaning-and-EUV-Reflectivity-Restoration-by-Hydrogen-Plasma-for-EUV-Sources.pdf) 6. H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004). 7. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002). 8. S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007). 9. H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium (http://www.sematech.org/meetings/archives/litho/8653/pres/Keynote3_Kim_Hynix.pdf) 10. H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008. 11. [1] (http://www.ed.nl/asml/asml-heeft-nieuwe-megahal-hard-nodig~aa0c87ee/) 12. Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825 (https://doi.org/10.1063%2 F1.1931825). 13. Sn vs. Xe ions as EUV light source (http://www.nifs.ac.jp/itc/itc14/abstract/P1-30.html) 14. Paetzel, R.; et al. (2003). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. 5040: 1665. doi:10.1117/12.485344 (https://doi.org/10.1117%2F12.485344). 15. Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484. doi:10.1088/0022-3727/39/3/010 (https://doi.org/10.1088%2F0022-3727 %2F39%2F3%2F010). 16. T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013). 17. ASML update Nov. 2013, Dublin (http://www.euvlitho.com/2013/S2.pdf) 18. I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017). 19. Hydrogen mediated transport of Sn to Ru film surface (http://www.physics.rutgers.edu/~faradjev/pdf/F46.pdf) 20. Metal outgassing study at EIDEC. (http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf) 21. R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010). 22. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017). 23. Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017). 24. L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007. 25. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003). 26. G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009). 27. T. Last et al., Proc. SPIE 9985, 99850W (2016). 28. T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017). 29. W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017). 30. EUV Mask Flatness Requirements (http://www.sematech.org/meetings/archives/litho/7853/Flatness/S.%20Yoshitake_NuFlare.pdf) 31. T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008). 32. P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013). 33. M. Sugawara et al., Proc. SPIE 9048, 90480V (2014). 34. X. Chen et al., Proc. SPIE 10143, 101431F (2017). 35. "ASML: Products - TWINSCAN NXE:3400B" (https://www.asml.com/products/systems/twinscan-nxe/twinscan-nxe3400b/en/s46772?dfp_product_id=10850). asml.com. 36. X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014). 37. O. Wood et al., Proc. SPIE 10450, 1045008 (2017). 38. E. van Setten et al., Proc. SPIE 9661, 96610G (2015). 39. C. S. Choi et al., Proc. SPIE 9235, 92351R (2014). 40. http://www.lithoguru.com/textbook/Chapter10_Figures.ppt, p. 37. 41. C. A. Mack, Microlith. World, 9-4, 25 (2000) 42. J. S. Petersen et al., Proc. SPIE 3546, 288 (1998). 43. [2] (http://www.sematech.org/meetings/archives/litho/8059/poster/MA-P17-Kim.pdf) 44. [3] (http://www.euvlitho.com/2011/P19.pdf) 45. L. Yuan et al., Proc. SPIE 8322, 832229 (2012). 46. https://www2.eecs.berkeley.edu/Pubs/TechRpts/2017/EECS-2017-121.html 47. Corner rounding in EUV photoresist (https://www.osti.gov/scitech/servlets/purl/982925-aS0o4B/) 48. E. van Setten et al., Intl. Symp. on EUV Lithography, 2014. 49. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017). 50. E. van Setten et al., Proc. SPIE 9231, 923108 (2014). 51. K. van Ingen Schenau, 2013 EUVL Symposium. 52. M. Crouse et al., Proc. SPIE 10148, 101480H (2017). 53. Semicon West Advanced Interconnect Challenges (https://www.semiwiki.com/forum/content/6932-semicon-west-advanced-interconnect-challenges.html) 54. semiwiki - 7nm process details (https://www.semiwiki.com/forum/content/6879-exclusive-globalfoundries-discloses-7nm-process-detail.html) 55. L. T. Clark et al., Microelec. Journ. 53, 105 (2016). 56. ASML 2014 Investor Day slide 46 (https://staticwww.asml.com/doclib/investor/asml_3_Investor_Day-Many_ways_to_shrink_MvdBrink1.pdf) 57. T-S. Eom et al., Proc. SPIE 8679, 86791J (2013). 58. K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005). 59. Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016). 60. Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision, p.31 (https://repository.tudelft.nl/islandora/object/uuid%3A1d71e3e8-88ce-4260-aeda-af0ee767544 5) 61. T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016). 62. Challenges for models (https://nikonereview.com/2017/mentor-graphics-director-details-challenges-for-edge-placement-control-in-2020/) 63. F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015). 64. I. Mochi et al., Proc. SPIE 9776, 97761S (2015). 65. T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017). 66. Understanding EUV Shot Noise (https://www.jstage.jst.go.jp/article/photopolymer/26/5/26_617/_pdf) 67. D. Civay et al., Proc. SPIE 9048, 90483D (2014). 68. T. Last et al., J. Micro/Nanolith. MEMS MOEMS 15, 043508 (2016). 69. A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010). 70. T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016). 71. V. Philipsen et al., Proc. SPIE 9235, 92350J (2014). 72. W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017). 73. R. Capelli et al., Proc. SPIE 9231, 923109 (2014). 74. Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017). 75. US Patent 9715170. 76. S. Nagahara et al., Proc. SPIE 7640, 76401H (2010). 77. W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017). 78. L. Pang et al., Proc. SPIE 7520, 75200X (2009). 79. S. D. Hsu and J. Liu, Adv. Opt. Tech. vol. 6, 293 (2017). (https://www.degruyter.com/view/j/aot.2017.6.issue-3-4/aot-2017-0024/aot-2017-0024.xml) 80. Semicon/Japan 99 (https://staticwww.asml.com/doclib/productandservices/94102.pdf) 81. http://slideplayer.com/slide/6214703/20/images/31/Image+formation+Number+of+diffracted+orders.jpg 82. C. Krautschik et al., Proc. SPIE 4343, 392 (2001). 83. A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009). 84. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016). 85. Z. Zhu et al., Proc. SPIE 5037, 494 (2003) 86. V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017). 87. L. Liebmann et al. Proc. SPIE 10148, 101480F (2017). 88. V. Phiipsen et al., 2015 International Symposium on Extreme Ultraviolet Lithography. 89. B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977). 90. CNSE 2013 International Workshop on EUV Lithography (https://www.euvlitho.com/2013/P29.PDF) 91. N. Felix et al., Proc. SPIE vol. 9776, 97761O (2015). 92. J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014). 93. Y. Kandel et al., Proc. SPIE 10143, 101430B (2017). 94. A. Narasimhan et al., Proc. SPIE vol. 9422, 942208 (2015). 95. D. D. Simone et al., Proc. SPIE 10143, 101430R (2017). 96. J. M. Roberts et al., Proc. SPIE 7273, 72731W (2009). 97. G. Denbeaux et al., 2007 European Mask and Lithography Conference. 98. I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011). 99. G. Denbeaux, 2009 Intl. Workshop on EUV Lithography. 100. J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011). 101. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006). 102. e.g., The Denitridation of Nitrides Under Hydrogen (http://eprints.gla.ac.uk/84097/2/84097.pdf) 103. D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016). 104. B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012). 105. Metal Oxide Resist Outgassing (http://ieuvi.org/TWG/Resist/2016/20160221Meeting/07_EIDEC_Shiobara.pdf) 106. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017). 107. "Getting up to speed with roadmap requirements for extreme-UV lithography" (http://spie.org/x48080.xml?pf=true&ArticleID=x48080). spie.org. 108. "Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography" (http://www.eecs.berkeley.edu/Pubs/TechRpts/2005/EECS-2005-28.html). berkeley.edu. 109. H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013). 110. S. Huh et al., Proc. SPIE 7271 (2009). 111. K. Seki et al., Proc. SPIE 9658, 96580G (2015). 112. A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014). 113. M. Muller et al., Appl. Phys. A vol. 108, 263 (2012). 114. 2016 EUV Mask Pellicle TWG update (http://ieuvi.org/TWG/Mask/2016/20160221/1_Pellicle_TWG2016_NXE_Pellicle_fx.pdf) 115. EUVL activities in South Korea (including Samsung and SKHynix) (http://euvlitho.com/2015/P23.pdf) 116. I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012). 117. C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014). 118. D. L. Goldfarb, Dec. 2015 BACUS Newsletter. 119. A. Gao et al., J. Appl. Phys. 114, 044313 (2013). 120. E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015). 121. C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010). 122. L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013). 123. I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017). 124. H. Oizumi et al., Proc. SPIE vol. 5751, 1147 (2005). 125. K. Motai et al., Proc. SPIE vol. 6517, 65170F (2007). 126. Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015). 127. S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017). 128. "5nm Fab Challenges" (http://semiengineering.com/5nm-fab-challenges/). 2016-01-20. "ASML is developing an anamorphic lens for EUV. The two-axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction. It would support 0.5 to 0.6 NAs. … The EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today’s EUV scanners." 129. Hilbert Hagedoorn. "GeForce GTX 780 review" (http://www.guru3d.com/articles-pages/geforce-gtx-780-review,2.html). Guru3D.com. 130. Intel Xeon E5-2600 v3 (http://digitalbuzz.net/depth-intel-xeon-e5-2600-v3-processor-haswell-ep/) 131. J. T. Neumann et al., Proc. SPIE vol. 8522, 852211 (2012). 132. K. Takehisa, Proc. SPIE vol. 8701, 87010T (2013). 133. S-M. Kim et al., Proc. SPIE 9422, 94220M (2015). 134. B. Baylav, "Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography" (http://scholarworks.rit.edu/cgi/viewcontent.cgi?article=8633&context=theses), PhD dissertation, p. 37, 2014. 135. R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017). 136. 2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography (http://www.euvlitho.com/2013/P42.pdf) 137. T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014). 138. T. Kozawa, Jap. J. Appl. Phys. 51, 06FC01 (2012). 139. Photoresist ABCs (http://www.lithoguru.com/scientist/CHE323/Lecture50.pdf) 140. NXT:1980Di (https://www.asml.com/products/systems/twinscan-nxt/twinscan-nxt1980di/en/s46772?dfp_product_id=10567) 141. EUV Photoresists (https://www.euvlitho.com/2016/P79.pdf) 142. ASML 2016 EUVL Workshop (https://www.euvlitho.com/2016/P2.pdf) 143. New solutions for resist (http://www.sematech.org/meetings/archives/litho/8939/pres/RE-08.pdf) 144. Metal oxide resist strip (https://spcc2016.com/wp-content/uploads/2016/04/03-12-Hu-SPM-Strip-of-Metal-Oxide-PR.pdf) 145. 2016 EUV source workshop, ASML update. 146. WSJ on ASML (https://www.wsj.com/articles/asml-steps-up-to-chip-industry-challenge-1475435759) 147. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017). 148. [4] (http://www.lithoguru.com/scientist/essays/100WbytheEndoftheYear.ppsx) 149. [5] (http://electroiq.com/blog/2016/05/euvl-taking-it-down-to-5nm/) 150. [6] (https://www.theregister.co.uk/2014/02/25/asml_euv_scanner_fails_at_tsmc_and_intel_investigates_dsa/) 151. [7] (http://www.eetasia.com/ART_8800656186_480200_NT_a81058ff.HTM) 152. [8] (http://optics.org/news/5/11/35) 153. [9] (http://optics.org/news/7/7/28) 154. [10] (http://semimd.com/blog/tag/euv/) 155. [11] (http://www.semi.org/en/euvl-taking-it-down-5nm) 156. [12] (http://semimd.com/blog/2016/01/20/asml-has-record-revenue-for-2015-will-raise-dividend-buy-back-more-stock/) 157. Tiernan Ray. "ASML Gets a Lift From TSM, Though Questions on EUV Remain" (http://blogs.barrons.com/techtraderdaily/2015/02/24/asml-gets-a-lift-from-tsm-though-questions-on-euv-remain/). Barrons. 158. "ASML 2015 Fourth Quarter and 2015 Annual Results" (https://www.sec.gov/Archives/edgar/data/937966/000093796616000010/asml20160120presentation.htm). 159. "ASML 2015 Second Quarter Results" (https://www.sec.gov/Archives/edgar/data/937966/000093796615000005/asml20150715presentation.htm). 160. Frits van Hout (November 24, 2014). "EUV" (https://www.sec.gov/Archives/edgar/data/937966/000119312514423554/d826396dex996.htm). ASML. Retrieved December 14, 2016. 161. ASML. "ASML reports 2013 results" (https://www.sec.gov/Archives/edgar/data/937966/000119312514016872/d660390dex991.htm). US Securities and Exchange Commission. Retrieved 2014-07-16. "We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014, upgradeable to 125 wafers per hour in 2015." 162. "ASML 2015 Third Quarter Results" (https://www.sec.gov/Archives/edgar/data/937966/000093796615000008/asml20151014presentation.htm). 163. Hans Meiling (October 31, 2016). "Role of EUV and its Business Opportunity" (http://staticwww.asml.com/doclib/investor/investor_day/asml_20161031_04_Investor_Day_2016_EUV_and_its_Business_Opportunit y_HMeiling.pdf) (PDF). ASML. Retrieved December 15, 2016. 164. "ASML firms up EUV lithography expectations" (http://optics.org/news/7/10/27). October 19, 2016. 165. https://www.sec.gov/Archives/edgar/data/937966/000093796617000003/asml20170118presentation.htm 166. R. Peeters et al., Proc. SPIE 8679, 86791F (2013). 167. "Holistic lithography for EUV: NXE:3100 characterization of first printed wafers using an advanced scanner model and scatterometry" (https://web-beta.archive.org/web/20150716073832/%20http://brion.com/wordp ress/wp-content/uploads/2010/08/Holistic-lithography-for-EUV.pdf) (PDF). Archived from the original (http://brion.com/wordpress/wp-content/uploads/2010/08/Holistic-lithography-for-EUV.pdf) (PDF) on July 6, 2015. 168. "ASML delays EUV tool revenue recognition" (http://optics.org/news/3/4/23). 2012-04-18. 169. "ASML confident of 2016 EUV goals; Dutch lithography giant expects to ship at least six systems as customers target 2018 production ramp" (http://optics.org/news/7/1/21). 2016-01-20. 170. http://seekingalpha.com/article/4037731-asml-holdings-asml-ceo-peter-wennink-q4-2016-results-earnings-call-transcript 171. ASML ships first XNE:3400B (http://www.eetimes.com/document.asp?doc_id=1331421) 172. EUV Progress, Hurdles Cited (http://www.eetimes.com/document.asp?doc_id=1331411&page_number=2) 173. Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography (http://www.euvlitho.com/2012/P1.pdf) 174. [13] (https://www.semiwiki.com/forum/f293/euv-never-going-single-patterning-8935.html) 175. S. Hsu et al., Proc. SPIE 4691, 476 (2002). 176. X. Liu et al., Proc. SPIE 9048, 90480Q (2014). 177. S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002). 178. J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012). 179. Intel’s Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die (http://www.anandtech.com/show/9802/supercomputing-15-intels-knights-landing-xeon-phi-silicon-on-display), 180. J. van Schoot et al., Proc. SPIE 9422, 94221F (2015). 181. B. J. Lin, JM3 1, 7–12 (2002). 182. E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015). 183. B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002). 184. B. J. Lin, Microelec. Eng. 143, 91-101 (2015). 185. EUV Extension (https://semiengineering.com/extending-euv-to-2nm-and-beyond/) 186. ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources (http://www.euvlitho.com/2010/P14.pdf) 187. N. Mojarad et al., Sci. Rep. 5, 9235 (2015). (http://www.nature.com/articles/srep09235)

Further reading Banqiu Wu and Ajay Kumar (May 2009). Extreme Ultraviolet Lithography (http://www.mhprofessional.com/product.php?isbn=0-07-154918-8). McGraw-Hill Professional, Inc. ISBN 0-07-154918-8. Banqiu Wu and Ajay Kumar (2009). "Extreme Ultraviolet Lithography: Towards the Next Generation of Integrated Circuits" (http://www.opfocus.org/index.php?topic=story&v=7&s=4). Optics & Photonics Focus. 7 (4).

Related links EUV presents economic challenges (http://semimd.com/blog/2011/03/25/euv-mask-cleaning-presents-economic-challenges/) Industry mulls 6.7-nm wavelength EUV (http://www.eetimes.com/electronics-news/4213580/Industry-mulls-6-7-nm-wavelength-EUV) Retrieved from "https://en.wikipedia.org/w/index.php?title=Extreme_ultraviolet_lithography&oldid=822103143"

This page was last edited on 24 January 2018, at 11:59. Text is available under the Creative Commons Attribution-ShareAlike License; additional terms may apply. By using this site, you agree to the Terms of Use and Privacy Policy. Wikipedia® is a registered trademark of the Wikimedia Foundation, Inc., a non-profit organization.

Smile Life

When life gives you a hundred reasons to cry, show life that you have a thousand reasons to smile

Get in touch

© Copyright 2015 - 2024 PDFFOX.COM - All rights reserved.